Verilog Compilation Using Open Source Tools
Verilog Compilation using open source tools | iverilog | gtkwave | yosys
In this video we will see how to compile verilog files on linux environment using open source tools.
iverilog
is used to compile the .v
file.
Gtkwave
is used to view the waveform.
Yosys
is used to view the synthesized version of the design file.
commands
- iverilog -o tb tb.v
- vvp tb
- gtkwave test.vcd
- yosys
- yosys> read_verilog ha.v
- yosys> opt
- yosys> show
Written on October 24, 2021